Практикум для студентів спеціальності 050201 Укладач к т. н., доц. М. М. Биков затверджено



бет2/4
Дата04.07.2016
өлшемі2.41 Mb.
#177593
түріПрактикум
1   2   3   4

ЛАБОРАТОРНА РОБОТА № 2
СИНТЕЗ ТА ДОСЛІДЖЕННЯ КОМБІНАЦІЙНИХ ЦИФРОВИХ

СХЕМ
МЕТА РОБОТИ: вивчення методів синтезу цифрових схем комбінаційного типу, набуття навичок реалізації їх на інтегральних елементах.


2.1. ПОРЯДОК ВИКОНАННЯ РОБОТИ
2.1.1. Ознайомитися з методичними вказівками.

2.1.2. За заданою таблицею істинності функції чотирьох знінних синтезувати в заданому базисі комбінаційну схему.

2.1.3. Скласти схему та дослідити її роботу, визначивши її вихідні сигнали для всіх наборів вхідних сигналів.

2.1.4. За заданим логічним рівнянням синтезувати цифрову схему

в заданому базисі логічних елементів.

2.1.5. Скласти та записати таблицю істинності її роботи.

2.1.6. Скласти звіт про виконання роботи.
2.2. ТЕОРЕТИЧНІ ВІДОМОСТІ
2.2.1. Поняття про комбінаційну схему та цифровий автомат

В обчислювальних машинах та системах перетворення інформації, яка подана у вигляді фізичних сигналів, здійснюється логічними схемами, які поділяються на два класи: комбінаційні схеми та цифрові автомати.

Якщо в логічній схемі множина вихідних сигналів У в будь-який момент часу однозначно визначається сигналами Х, що надходять на вхід, то така схема називається комбінаційною (рис.2.1).

Комбінаційна схема (КС) може бути задана абстрактною або структурною моделлю. Абстрактною моделлю комбінаційної схеми може бути або таблиця істинності, або логічний вираз, які зв'язують залежністю вихідні сигнали схеми з вхідними. Структурна модель використовується для побудови її з конкретних логічних елементів.

Як правило, на етапі проектування закон функціонування КС спочатку задається у вигляді таблиці істинності. За нею потім складають логічний вираз, за яким будують структуру КС.

Логічна схема, значення вихідних сигналів якої залежать як від значень вхідних сигналів на даний момент, так і від значень вхідних сигналів в попередні моменти часу, називається цифровим (або послідовним) автоматом. Залежність вихідних сигналів цифрового автомата від попередніх значень вхідних сигналів можлива тільки в тому випадку, якщо автомат запам'ятовує свій попередній стан.

Рисунок 2.1 - Комбінаційна схема
Тому іноді цифровий автомат називають автоматом з пам'яттю, а комбінаційну схему називають автоматом без пам'яті, або примітивним автоматом.
2.2.2. ЕТАПИ СТРУКТУРНОГО СИНТЕЗУ ЦИФРОВИХ ПРИСТРОЇВ

Кінцевою метою проектування будь-якого цифрового пристрою є його фізична побудова з конкретних логічних елементів на електронних схемах. Основою для цього є структурна схема, яка визначає склад логічних елементів та електричні зв'язки між ними. Процес одержання структурної схеми цифрового автомата називається структурним синтезом.

На етапі початкового опису цифрового пристрою записують закон його функціонування у вигляді таблиці істинності, якщо це КС, або у вигляді блок-схеми алгоритму, якщо це цифровий автомат (ЦА). На другому етапі переходять до формалізованого опису цифрового пристрою. Для комбінаційних схем він задається у вигляді логічних виразів, а для цифрових автоматів - у вигляді абстрактного автомата.

Структурний синтез комбінаційного цифрового пристрою (ліва частина алгоритму, рис.2.2) потребує після цього виконання таких етапів: мінімізації логічних виразів, перетворення до заданого базису, розробки структурної схеми відповідно отриманим логічним виразам. На останньому етапі виконується складання та налагодження.

Початковий опис КС або ЦА

Формалізоване задання КС або ЦА

так


ні

КС-?
Мінімізація логічної функції

Мінімізація автомата

Перетворення до зада-ного базису елементів

Розробка схеми станів

Побудова структурної схеми

Розробка таблиці переходів автомата

Складання та налагоджування



Синтез функцій вихо-дів та функцій збуд-ження тригерів

Рисунок 2.2 - Етапи структурного синтезу цифрових автоматів

2.2.3. Мінімізація логічних функцій
При наявності набору електронних логічних елементів будь-яка логічна функція, подана у вигляді бульового виразу, може бути реалізована у вигляді електронної цифрової схеми. Наприклад, розглянемо бульовий вираз:


,
з якого видно, що для реалізації його у вигляді фізичної схеми необхідно три логічних елементи «І», два інвертори та один елемент «АБО» з трьома входами (рис.2.3,а).

На рис.2.3,б показана схема, яка реалізує логічну функцію , що складається всього з одного електронного логічного елемента «АБО». Аналіз роботи нарисованих схем доводить, що вони мають один і той самий закон функціонування, наданий таблицею істинності на рис.2.3,в. Наведений приклад доводить, що один і той самий процес перетворення цифрових сигналів може виконуватись схемами різної скпадності, а значить, і вартості. Отже, при проектуванні цифрових пристроїв потрібно звертати увагу на невиправдане подорожчання схем.

За критерій вартості логічної схеми беруть її складність, яка визначається сумарною кількістю входів логічних елементів. Наприклад, складність Су1, схеми на рис.2.3,а – Су1 = 11, а складність схеми на рис.2.3,б –Су2 = 2.

Оскiльки існує однозначна відповідність між бульовою функцією та логічною схемою, яка її реалізує, то зменшення складності схеми можна досягти шляхом мінімізації бульової функції - знаходження найбільш простого виразу для заданої функції, еквівалентного початковому.

Найчастіше мінімізацію проводять для логічної функції, яка подана в досконалій диз'юнктивній нормальній формі (ДДНФ), отримуючи при цьому мінімальну ДНФ.

Найбільш поширеними методами мінімізації логічних функцій є метод мінімізації за допомогою діаграм Вейча-Карно та метод Квайна- МакКласкі. Діаграми Вейча-Карно зручно застосовувати для мінімізації бульових функцій, які містять не більше 4-6 змінних. Дані діаграми являють собою спеціально організовані таблиці істинності. Кількість клітинок в таблиці повинна відповідати кількості можливих наборів аргументів.

Якщо кількість змінних n, на яких задана бульова функція, парна, то кількість рядків та стовпчикiв в таблиці дорівнює 2n/2, якщо непарна, то беруть таку кількість рядків, яка дорівнює , а кiлькiсть стовпчиків – . На рис.2.4 показані діаграми Вейча-Карно для функцій двох, трьох, чотирьох та п'яти аргументів. Набори в клітинках таблиці розміщені так, що два сусідні набори в стовпчику або рядку відрізняються значенням однієї змінної - в одному наборі вона з запереченням, а в другому – без заперечення. При такому розміщенні будь-якi два сусідні набори можуть склеюватися. Відповідна розмітка рядків та стовпчикiв діаграм Вейча-Карно символами змінних показана на рис.2.4.

Х1

І

І

Х1



Х2

Х2


Х1

Х1

І
Y2

Х2

Y1
АБО

АБО


Х2

Х1


І
б)

Х2


а)
ВходиВиходиХ2Х1 Y1

Y2

0000011110111111 в)

, Y2 = X1 + X2
Рисунок 2.3 - а) – структурна схема електронного пристрою, яка реалізує функцію ; б) – схема, яка реалізує функцію Y2 = X1+X2; в) – таблиця, яка задає закон
функціонування обох схем

Кожна змінна розбиває діаграму на дві половини - половину Х та половину .

Рисунок 2.4 - Діаграми Вейча-Карно для двох, трьох,

чотирьох та п'яти логічних змінних


Частина діаграми, яка відповідає неінверсним аргументам, на рис. 2.4. відмічена жирною лінією.

Якщо бульова функція надана таблицею істинності, то «1» заповнюються клітинки діаграми Вейча, які відповідають наборам значень змінних, на яких функція перетворюється в одиницю (рис.2.5). Клітинки, які відповідають нульовим значенням, залишаються пустими. Потім за одержаними діаграмами проводять мінімізацію логічної функції. Для цього спочатку виконують побудову контурів, якi охоплюють клітинки з одиницями, дотримуючись наступних правил:

1) контур повинен бути прямокутним або квадратним та містити тільки клітинки з одиницями;

2) кількість клітинок всередині контуру повинна бути цілим степенем двійки – 1,2,4,8, ...;

3) всі одиниці повинні бути охоплені контурами;

4) кожний контур повинен охопити максимально можливу кiлькiсть одиниць;

5) при проведенні контурів нижній і верхній рядки та лівий і правий стовпці вважаються сусідніми.

Таблиця істинності Діаграма Вейча-Карно


Х2

Х2

Входи

Виходи


Х1

1

Х2



Х1

F(X)


0

01


Х1

1

1



0

1

1

1



0

1

1



1

0

Рисунок 2.5 - Порядок заповнення діаграм Вейча-Карно за таблицею



істинності логічної функції
Загальна кількість імплікант в мінімальній ДНФ буде дорівнювати числу контурів. Чим більше одиниць в контурі, тим коротша імпліканта, яка описує його.

Приклад 1. Мінімізувати логічну функцію двох змінних, яка задана таблицею істинності на рис.2.5.

Рішення: Відповідно до вищенаведених правил будуємо контури на діаграмі Вейча-Карно (табл.2.1).
Таблиця 2.1 – Проведення контурів на діаграмі Вейча-Карно
Щоб знайти просту імпліканту, яка описує контур, необхідно з'ясувати, від яких змінних не залежить даний контур. Оскільки вертикальний контур в табл. 2.1 охоплює рядки з х1 та х1 , то х1 в імпліканту, яка описує даний контур, не входить (по ній два сусідні набори склеюються). Міркуючи аналогічно, з'ясовуємо, що в просту імпліканту, яка описує горизонтальний контур, змінна х2 входити не буде. Отже, мінімальна ДНФ логічної функції, що задана таблицею істинності на рис.2.5, має вигляд:

.

Приклад 2. Мінімізувати логічну функцію трьох змінних, задану бульовим виразом:

.

Рішення: Спочатку приведемо його до ДНФ:

Відповідно до ДНФ (2.1) логічної функції заповнимо діаграму

Вейча-Карно для трьох змінних (рис.2.6).

Рисунок 2.6 - Побудова діаграми Вейча-Карно для ДНФ логічної

функції трьох змінних

На діаграмі проводимо один контур з одиницями так, як це показано на рис.2.6. Мінімальна ДНФ заданої функції має вигляд:


.
2.2.4. Перетворення логічних функцій до заданого базису елементів

Одержаний в результаті мінімізаціі логічний вираз функції є основою для побудови електричної схеми, але він не враховує характеристик реальних логічних елементів, якi є в розробника. Наприклад, на лабораторних макетах є: мікросхема К555ЛАЗ, яка містить чотири логічні елементи "2І-НЕ", мікросхема К555ЛА4, яка містить три логічних елементи "3І-НЕ", мікросхема К155ЛР1, яка містить два логічних елементи "2-2І-2АБО-НЕ", та інші. Тому перед складанням логічної схеми для реалізації логічного виразу його перетворюють до заданого базису логічних елементів.

Наприклад, логічна функція задана таким виразом:

,

і стоїть завдання реалізувати її у вигляді цифрового пристрою на елементах «І-НЕ». Для цього функцію F(X) перетворюємо до такого вигляду, щоб вона містила тільки операції кон'юнкції та заперечення



.

Перетворення виконано на основі використання закону подвійного заперечення



,

та правила Де-Моргана



.

Логічна схема може бути реалізована за допомогою двох мікросхем К555ЛАЗ та К555ЛА4 (рис.2.7).

9
DD1

DD2
&

3
Х1

&

1



1

1

5



4
Х2

&

7



6
F(X)

2

2



8
&
Х0

&

9


3

5

7



Х3

&

6


&
1

4

8


К555ЛА4

К555ЛА3
2

Рисунок 2.7 - Реалізація логічної функції в базисі логічних елементів «І-НЕ»

2.3. ЗАВДАННЯ НА ЛАБОРАТОРНУ РОБОТУ

2.3.1. Синтезувати в базисі І-НЕ та дослідити комбінаційну схему, яка реалізує логічну функцію чотирьох змінних, задану наступною таблицею істинності:
Х3X2Х1Х0F(Х)123456700001001111000111101000010111100000110011111010000011110101111000001101110000011100011111000000111110011110000101011100001011000111111000101111110111100011110111001011110101111

2.3.2. Синтезувати в базисі І-АБО-НЕ та дослідити комбінаційну схему, яка реалізує функцію трьох змінних, задану логічним виразом:


Варіант

Вигляд логічної функції12345678

ЛАБОРАТОРНА РОБОТА № 3
СИНТЕЗ ТА ДОСЛІДЖЕННЯ ТРИГЕРІВ
МЕТА РОБОТИ: придбання навичок синтезу та аналізу
елементарних автоматів.
3.1. ПОРЯДОК ВИКОНАННЯ РОБОТИ

3.1.1. Познайомитися з методичними вказівками.

3.1.2. Синтезувати вказані в завданні на лабораторну роботу схеми тригерів.

3.1.3. 3ібрати на лабораторному стенді схеми тригерів і дослідити їх роботу.

3.1.4. Скласти звіт про виконання роботи.
3.2. КОРОТКІ ТЕОРЕТИЧНІ ВІДОМОСТІ
Тригер є елементарним автоматом, який широко використовується в обчислювальних машинах та системах для побудови складних цифрових схем. Він являє собою пристрій з двома стійкими станами, одному з яких приписується значення 1, а другому 0. В ці стани тригер переходить під дією вхідних сигналів, якi надходять на один або декілька його входів. Узагальнена структурна схема тригерного пристрою приведена на рис. 3.1.

На схемі прийняті такi позначення: Т - власне тригер, ПК пристрій керування, Х1,...,Хn - інформаційні входи тригерного пристрою, С1,...,Сn - тактові входи, S - вхід встановлення тригера в стан 1, R - вхід встановлення тригера в стан 0, Q - прямий вихід тригера, - інверсний вихід тригера.

Зараз промисловістю випускається у вигляді інтегральних схем велика кількість різних тригерiв, які відрізняються один від одного виконуваною функцією, схемотехнічною реалізацією, способом запису інформації та іншими ознаками. За способом запису інформації тригери класифікують на асинхронні та синхронізовані.

В асинхронних тригерах запис інформації здійснюється безпосередньо з надходженням інформації сигналу на вхід тригера. В синхронізованих тригерних пристроях запис здійснюється тільки при поданні дозволяючого тактувального імпульсу. За способом синхронізації розрізняють однотактні та двотактні тригери. За способом організації логічних зв'язків розрізняють тригери з роздільним встановленням станів 0 та 1 (RS - тригери), тригери з лічильним входом (Т – тригери), універсальні тригери з нарізним установленням станів 1 та 0 (JК - тригери), тригери з прийманням інформації одним входом (D - тригери) тощо.

Х1

S

T



Q

Х2

ПК


С1

R


Сn
Рисунок 3.1 - Узагальнена структурна схема тригера
Більш детального розгляду заслуговує тригер RS-типу, оскільки на його основі створюються тригери всіх інших типів. Тригером RS-типу називають елементарний автомат з двома стійкими станами, який має два інформаційних входи R та S, такi, що при S=1 та R=0 тригер приймає одиничний стан Q=1, а при R=1 та S=0 тригер приймає нульовий стан Q=0. Вхід S називається входом встановлення, вхід R - входом скидання.
Таблиця 3.1 Таблиця істинності RS-тригера

tntn+1RnSnQn+100Qn01110011X

3 таблиці 3.1 видно, що при одночасному надходженні на входи R та S логічної 1 тригер приймає невизначений стан. Тому логічні пристрої на основі RS-тригерів повинні будуватись з урахуванням виключення комбінації сигналів . При цьому логічне рівняння RS - тригера записується у вигляді:



(3.1), (3.2)
Логічний вираз для інверсного виходу буде тоді мати такий вигляд:

(3.3)

Шляхом еквівалентного перетворення приведемо його до вигляду, який зручний для реалізації на інтегральних логічних елементах АБО-НЕ:


(3.4)
Схема RS-тригера на логічних елементах АБО-НЕ, яка реалізує останній вираз, показана на рис.3.2,а, а її функціональне позначення на рис. 3.2,б.

Рисунок 3.2 - Схема асинхронного RS-тригера


В синхронізованому RS-тригері на вході кожного плеча є додаткові схеми збігу, перші входи яких з'єднані і є входами синхроімпульсів. При цьому інформація, яка поступає на входи R і S, може передаватися тільки при наявності на вході С синхронізуючого імпульcу. Вхідна інформація повинна бути подана в парафазному коді, тобто S=0 та R=1 або S=1 та R=0. Схема синхронного RS-тригера на елементах АБО-НЕ та його умовне позначення показані на рис.3.3,а та рис.3.3,б відповідно, а часова діаграма його роботи – на рис.3.4.

Тригер D-типу відомий під назвою «тригер затримки». Тригером D-типу називається логічний пристрій з двома стійкими станами та з одним інформаційним входом, закон функціонування якого можна описати логічним рівнянням:



(3.5)
Рисунок 3.3 - Функціональна схема та умовне позначення

синхронізованого RS-тригера

C

t
S


t

R

t


C

t

Рисунок 3.4 - Часова діаграма роботи синхронізованого



RS-тригера

Рівняння показує, що в (n+1)-й тактовий момент часу вихідний стан співпадає з сигналом, діючим на вході D в n-ий момент часу. Структурна схема синхронізованого D-тригера показана на рис.З.5 а), а на рис.3.5 б) показане його умовне позначення.

Рисунок З.5 - Структурна схема Д-тригера (а)

та його умовне позначення (б)

Тригером Т-типу, або лічильним тригером, називається елементарний автомат з двома стійкими станами та з одним інформацiйним входом Т, надходження сигналу на який змінює стан Т-тригера на протилежний. Т-тригер реалізує логічну функцію виду
V (3.6)
Найпростіша схема несинхронізованого Т-тригера, побудована з використанням синхронізованого RS-тригера, показана на рис.3.6.

T

Q


S
T
C
R

Q

Рис.3.6. Несинхронізований Т-тригер



Тригером JК-типу називається елементарний автомат з двома стійкими станами та двома інформаційними входами J та К, закон функціонування якого можна задати таблицею 3.2.

Таблица 3.2 -Таблиця переходів JК-тригера.


n n+1Jn KnQn+1Примітка0 0QnЗбереження0 1 0Встановлення 01 0 1Встановлення 11 1Інверсія

Схема синхронного JК-тригера, побудована на основі синхронізованого RS-тригера, показана на рис. 3.7,а, а схема інтегрального JК-тригера типу К155ТВ1 показана на рис. 3.7,б.

Q
&

S
&
T


&
&
&

&
&
J


&

S
&


Q
&
T
&

J
&
C


&

C
&


Q
&

C
&
R


&

&
&
K


&

Q
&


K
&
&
&
R
&

а) б)


Рис. 3.7. Схема JК-тригера на базі тригера RS-типу (а), умовне позначення мікросхеми JК-тригера типу К155ТВ1(б)
3.3. ЗАВДАННЯ НА ЛАБОРАТОРНУ РОБОТУ
3.3.1. Синтезувати схему асинхронного RS-тригера на елементах І-НЕ та дослідити його роботу. Вказівка: за початкову формулу для синтезу використовувати логічне рівняння тригера (3.1).

3.3.2. Синтезувати схему синхронного RS-тригера та дослідити його роботу.

3.3.5. Дослідити роботу D-тригера.

3.3.6. Дослідити роботу JК-тригера.



ЛАБОРАТОРНА РОБОТА № 4
СИНТЕЗ І ДОСЛІДЖЕННЯ ЛІЧИЛЬНИКІВ
МЕТА РОБОТИ: ознайомлення з принципами роботи та синтезу лічильників.
4.1.ПОРЯДОК ВИКОНАННЯ РОБОТИ
4.1.1. Ознайомитись з методичними вказівками.

4.2.1. Зібрати схему трирозрядного асинхронного підсумовувального лічильника на JК-тригерах і дослідити його роботу.

4.2.2. Синтезувати асинхронний підсумовувальний лічильник за схемою з примусовим скиданням з заданим викладачем коефіцієнтом лічби .

4.2.13. Синтезувати синхронний лічильник на Д-тригерах з заданим викладачем коефіцієнтом лічби.

4.2.4. Скласти схему трирозрядного асинхронного віднімального двійкового лічильника на Д-тригерах і дослідити його роботу.

4.1.5. Виконати синтез синхронного лічильника з заданим викладачем коефіцієнтом лічби.

4.1.6. Накреслити часові діаграми роботи лічильників.

4.1.7. Скласти звіт про виконання лабораторної роботи.


4.2. ТЕОРЕТИЧНІ ВІДОМОСТІ
Лічильником називається операційний елемент, який забезпечує зберігання слова інформації та виконання над ним мікрооперацій лічби. Мікрооперація лічби полягає в зміні (збільшенні або зменшенні) вмісту лічильника на одиницю. Крім того, в лічильнику виконуються такі допоміжні мікрооперації, як очищення, зберігання вмістимого, видача слова.

В ЕОМ лічильники використовуються для утворення послідовності адрес команд, для лічби кількості циклів виконання операцій, ділення частоти імпульсів, та інших цілей.

Лічильники можна класифікувати на основі таких ознак, як спосіб кодування, модуль лічби, напрямок лічби, спосіб організації міжрозрядних зв'язків, спосіб організації лічби.

За способом кодування розрізняють лічильники з позиційним та непозиційним кодуванням. В лічильниках з позиційним кодуванням числовий вираз 1-го поточного стану визначається за формулою:


,
де n – кількість розрядів лічильника,

Ak – вага k-го розряду,

Yk – логічне значення k-го розряду (0 або 1).

Прикладом може бути лічильник, який здійснює лічбу в двійковій cистемі числення.

В лічильниках з непозиційним кодуванням розряди не мають постійної ваги, і числовий вираз стану лічильника приписується кожному набору розрядів. Цей тип лічильників на практиці застосовується рідко.

Модуль лічби Мліч – це число різних стійких станів лічильника, через які проходить лічильник в процесі одного циклу лічби. Іншими словами, це граничне число імпульсів, яке може бути пораховано лічильником. Наприклад, якщо нам потрібен лічильник, що лічить від 000 до 111 (в двійковій системі), у нього повинно бути 8 різних вихідних станів. Це буде лічильник по модулю 8. По значенню модуля лічби розрізняють двійкові лічильники (Мліч = 2п) та лічильники з довільним коефіцієнтом лічби, у яких модуль лічби не дорівнює цілій степені числа 2. Кодування останніх також виконується двійковими кодами. Наприклад, лічильники з Мліч=4, Мліч=16 або Мліч=32 є двійковими лічильниками, а лічильники з Мліч =5, Мліч=10 або Мліч=12 є лічильниками з довільним коефіцієнтом лічби (двійково-кодовані лічильники). Лічильник з Мліч=10 часто називають або декадним або десятковим лічильником.

За напрямком лічби лічильники розділяють на підсумовувальні, віднімальні та реверсивні. В підсумовувальному лічильнику надходження одного імпульса на вхід збільшує вміст лічильника на одиницю, а в віднімальному – зменшує на одиницю. Реверсивним називається лічильник, в якому можлива реалізація обох мікрооперацій.

За способом організації лічби розрізняють асинхронні та синхронні лічильники. В асинхронному лічильнику лічильні імпульси надходять тільки на вхід першого тригера, кожний наступний тригер перемикається тільки після зміни стану попереднього тригера. Через послідовне перемикання тригерів в асинхронних лічильниках час встановлення лічильника є тривалим:


,
де tс – тривалість синхроімпульса, n – число розрядів лічильника, tр – час перемикання одного тригера.

В синхронному лічильнику лічильні імпульси надходять одночасно на входи всіх тригерів і перемикання тригерів відбувається синхронно з тактовим імпульсом.

Структури двійкових лічильників можна синтезувати формальними методами або одержати евристичним шляхом, тобто визначенням закономірностей змін двійкових чисел при послідовній лічбі.

Розглянемо зростаючу та спадну послідовності двійкових чотирирозрядних чисел.

3 таблиці прямої лічби (підсумовування) видно, що сусідній старший розряд змінює стан на протилежний при переході сусіднього молодшого розряду з одиниці в нуль. Отже, підсумовувальний лічильник може бути побудований з двотактних лічильних тригерів з управлінням по рівню, при цьому прямий вихід кожного тригера повинен бути з'єднаний з входом керування кожного наступного тригера (рис.4.1,а). Якщо використовувати однотактні тригери з прямим динамічним управлінням, то необхідио інверсний вихід тригера кожного молодшого розряду з'єднати з лічильним входом тригера сусіднього старшого розряду (рис.4.1,б). При використанні однотактних тригерів з інверсним динамічним керуванням прямий вихід кожного тригера підключають до входу керування наступного тригера (рис.4.1,в). Відповідні варіанти структур підсумовувальних послідовних лічильників на лічильних Т-тригерах подані на рис.4.1.

Рисунок 4.1 - Структури підсумовувальних лічильників


Оскільки в одержаних структурах лічильників кожний тригер перемикається вихідним сигналом попереднього, то такі лічильники називаються послідовними. Вони відрізняються простотою схеми, але мають низьку швидкодію. Максимальний час встановлення в послідовному лічильнику спостерігається при переходах, які супроводжуються перемиканням всіх розрядів – наприклад, від 1111 до 0000 в чотирирозрядному підсумовувальному лічильнику.

На практиці часто виникає необхідність в лічильниках, коефіцієнт перерахунку яких відрізняється від цілого степеня двійки, тобто


.
Для одержання такого коефіцієнта перерахунку часто використовують спосіб вилучення зайвих станів в двійковому лічильнику з модулем лічби n.
Мліч=2n
При цьому розрядність n двійкового лічильника повинна бути вибрана такою, щоб виконувалась умова
Мліч > К ліч > 2n-1 (4.1)
3 (4.1) випливає, що при заданому коефіцієнті перерахунку кількість тригерів n лічильника можна визначити з виразу:

де – найменше ціле, яке більше виразу в дужках.

Наприклад, для десяткового лічильника маємо:



Для того, щоб виключити зайві стани лічильника з довільним коефіцієнтом лічби, використовують найчастіше спосіб примусового скидання лічильника в початковий стан та спосіб керування інформаційними входами тригерів логічними схемами.

Застосування першого способу розглянемо на прикладі побудови асинхронного десяткового лічильника, показаного на рис. 4.3.

В схему двійкового лічильника за модулем 16 введена додатково логічна схема, яка встановлює всі тригери в нульовий стан з надходженням десятого імпульсу. Двійкове число, яке створюється в лічильнику з приходом десятого імпульсу, є 1010. Тому при подачі логічної 1 з виходів тригерів Q1 та Qз на входи логічного елемента І-НЕ цей елемент скине всі тригери в стан 0. Таким чином, після надходження десятого імпульсу лічильник починає знову рахувати з 0000 до 1001 (з 0 до 9).

в)
Рисунок 4.2 - Структури віднімальних послідовних лічильників

Рисунок 4.3 - Схема асинхронного лічильника за модулем 10
Спосіб керування інформаційними входами тригерів для виключення зайвих станів лічильника зручний тим, що він передбачає побудову формалізованим шляхом лічильника з будь-яким коефіцієнтом перерахунку. Суть даного методу полягає в тому, що кожний тригер лічильника перемикається окремою логічною схемою, яка аналізує в кожному такті стан виходів всіх тригерів та подає на інформаційний вхід тригера сигнал. 4-й сигнал перемикає даний розрядний тригер в стан, який потрібний для відображення наступного числа.

Розглянемо, наприклад, методику проектування синхронного десяткового лічильника на Д-тригерах за допомогою формального методу. Даний метод оснований на сумісному використанні таблиці переходів лічильника та таблиці переходів тригерів. Таблиця переходів десяткового лічильника показана таблицею 4.1.

Таблиця переходів показує, яке значення повинен мати інформаційний вхід тригера, щоб забезпечити вказаний перехід. Аналіз таблиці переходів D-тригера (табл.4.2) показує, що сигнал на вході Di буде відповідати значенню виходу тригера Qi в наступному такті:
D3 = Q3П, D2 = Q2П, D1 = Q1П, Dо = Q0П.
Цей факт відображений в таблиці 4.3 переходів тригера Тз під час

Таблиця 4.1 – Таблиця переходів десяткового лічильника


Десяткове числоПочатковий станНаступний станQ3ПQ2ПQ1ПQ0ПQ3НQ2НQ1НQ0Н000000001100010010200100011300110100401000101501010110601100111701111000810001001910010010
Таблиця 4.2 – Таблиця переходів D-тригера


Достарыңызбен бөлісу:
1   2   3   4




©dereksiz.org 2024
әкімшілігінің қараңыз

    Басты бет